JavaScript is currently disabled.Please enable it for a better experience of Jumi. Simulera multikärnor i SystemC
ArchC heter ett nytt hårdvarubeskrivningsspråk. Det tillåter dig att definiera multikärnor och emulera dem i 200 miljoner instruktioner per sekund.
Språket presenterades på en konferens i San José med North American SystemC Users Group (Nascug). Nättidningen EETimes refererar (länk).
Beteendet i SystemC

Efter att du definerat systemkretsen i ArchC kan du generera olika sorters simulatorer:
  • en instruktionssimulator
  • en klockcykelkorrekt interpreterande simulator med gränssnitt till avlusare
  • en kompilerad simulator som exekverar 200 miljoner instruktioner per sekund. Vilket ska vara tillräckligt för att exekvera verkliga program på den simulerade arkitekturen.
Namn, format och storlekar på instruktioner kompletteras med beskrivningar av minnen, ordlängder och rörledningar. Allt på varierande abstraktionsnivå beroende på önskad noggrannhet i simulatorn.

Modellens beteende defineras i SystemC transaction level modeling.
I ArchC kan du simulera och avlusa multikärnor innan du bygger dem. Du kan också öppna en separat avlusare för varje processor i multikärnan.

ArchC stöder hittills Mips, Sparc v8, PowerPC och 8051. I mars även Arm.

ArchC kan också emulera systemanrop i Linux och kan direkt köra objektkod som genererats av en C-kompilator.

Forskningsgruppen bakom språket arbetar på institutionen för datavetenskap på det brasilianska Campinasuniversitetet.

Allt är öppen programvara och kan tankas hem från www.archc.org .
MER LÄSNING:
 
KOMMENTARER
Kommentarer via Disqus

Anne-Charlotte Lantz

Anne-Charlotte
Lantz

+46(0)734-171099 ac@etn.se
(sälj och marknads­föring)
Per Henricsson

Per
Henricsson
+46(0)734-171303 per@etn.se
(redaktion)

Jan Tångring

Jan
Tångring
+46(0)734-171309 jan@etn.se
(redaktion)